Сайт о телевидении

Сайт о телевидении

» » Дешифраторы. Виды двоичных дешифраторов. Шифратор. Устройство, принцип работы Шифратор дешифратор принцип работы

Дешифраторы. Виды двоичных дешифраторов. Шифратор. Устройство, принцип работы Шифратор дешифратор принцип работы

Вид урока: комбинированный урок.

Технология: личностно-ориентированная.

Время проведения: 45 минут.

Оборудование: компьютерный класс, оснащенный современной техникой и лицензированным программным обеспечением.

Цели урока:

  • повторить способы представления информации в ЭВМ;
  • сформировать первичное представление об устройстве компьютера, о назначении его составных частей;
  • побудить интерес к изучению информатики.

Задачи урока:

Обучающая – формирование у учащихся представлений о единой картине мира (одинаковые способы кодирования информации различных видов).

Развивающая - развить логическое мышление школьников через установление причинно-следственных связей.

Воспитательные – воспитание познавательного интереса учащихся, умения слушать, аккуратности в работе, трудолюбия.

Подготовка к уроку.

Для урока были подготовлены:

Презентация, слайды, которые демонстрировались на экран с помощью проектора (приложение 1).

Электронная модель шифратора, построенная с помощью программы Excel. На рабочем листе размещена таблица истинности, логическая схема шифратора и смоделирована его работа (приложение 2).

Оформление доски.

На доске записаны тема урока, также план урока для учащихся:

  1. Представление информации в ЭВМ.
  2. Устройство для кодирования информации - шифратор.
  3. Схема шифратора для кодирования числовой информации.

План урока для учителя.

Ход урока

I. Организационный момент.

II. Мотивационное начало урока.

Учитель. Тема сегодняшнего урока – “Шифраторы. Назначение и принцип построения”. В ходе урока мы с вами должны изучить устройство, с помощью которого информация попадает в компьютер. Но перед этим нам с вами необходимо вспомнить о способах представления информации в ЭВМ.

Вопрос. Информацию каких видов может обрабатывать современный компьютер?

Ответ. Числовую, текстовую, графическую и звуковую информацию. Информация каждого вида должна быть представлена в форме, понятной компьютеру

Вопрос. В каком виде данная информация представлена в компьютере?

Ответ. Числовая, текстовая, графическая и звуковая информация в компьютере представлена в виде двоичных кодов.

Вопрос. Почему для представления информации в ЭВМ был выбран именно двоичный код?

Ответ. Алфавит двоичного кода составляют символы 0 и 1. Технически реализовать два различных состояния значительно проще, например отсутствие напряжения может изображать 0, наличие – 1; участок поверхности магнитного диска (намагничен/не намагничен); участок поверхности лазерного диска (отражает/не отражает).

Вопрос. Назовите устройства для ввода информации в компьютер?

Ответ. Клавиатура, мышь, сканер, микрофон, фотоаппарат, видеокамера.

III. Объяснение темы урока.

Современный компьютер может обрабатывать, как мы уже знаем, числовую, текстовую, графическую и звуковую информацию. Информация для обработки должна быть представлена в виде понятной компьютеру. Мы также назвали устройства, с помощью которых информация вводится в компьютер. Это, прежде всего клавиатура. Рассмотрим, как преобразуется информация, прежде чем появиться на мониторе.

Из схемы, показанной на Рисунке 1 видно, что процессор компьютера обрабатывает информацию, только представленную в виде двоичных чисел и внутренних кодов. Информация с клавиатуры, прежде чем попасть на обработку в процессор поступает на кодирующее устройство - шифратор . Название “шифратор” связано с тем, что первые коды (шифры) появились еще в глубокой древности и использовались для засекречивания важных сообщений от тех, кому они не были предназначены. В задачу нашего кодирования входит не засекречивание сообщений, а иная цель: преобразовать входную информацию в вид понятный компьютеру. Предназначенное для этой цели кодирующее устройство (шифратор) сопоставляет каждому символу исходного текста определенное двоичное число (код). Далее информация в виде двоичного кода поступает на обработку в процессор. После обработки информация через дешифратор (устройство для обратного преобразования) поступает на устройство вывода. Рассмотрим более подробно устройство для кодирования числовой информации. Для ввода числовой информации в компьютер может быть использована обыкновенная клавиатура, которая содержит десятичные цифры. Как известно, основанием системы счисления является число знаков или символов, используемых для изображения цифр в данной системе счисления. Для десятичной системы счисления число таких символов десять, это - 0,1,2,3,4,5,6,7,8,9. В двоичной системе счисления таких знаков два – 0 и 1. Следовательно, кодирующее устройство (шифратор) должно преобразовать входную информацию в виде десятичного числа в двоичное число, т.е. каждой цифре десятичной системе счисления поставить в соответствие определенный код двоичного числа. Мы с вами знакомы с правилами перевода чисел из десятичной системы счисления в двоичную систему счисления. Также нам известно, что для представления цифры 9 в двоичной системе счисления необходимо четырехразрядное двоичное число. Составим таблицу истинности.

Таблица 1

Десятичное число Двоичный код числа
Четвертый разряд Третий разряд Второй разряд Первый разряд
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1

В таблице записаны десятичные числа и им поставлены в соответствие двоичные. Проанализировав таблицу, можно сделать следующие выводы, необходимые для построения кодирующего устройства. Входное устройство должно содержать десять клавиш, от 0 до 9. На выходе устройства будет четырехразрядный двоичный код. Причем, на выходе первого разряда информация (логическая 1) будет, в случае если нажаты клавиши 1,3,5,7,9. На выходе второго разряда 1 будет в случае, когда нажаты клавиши 2,3,6,7. На выходе третьего разряда 1 будет в случае, когда нажаты клавиши 4,5,6,7. На выходе четвертого – когда нажаты клавиши 8 или 9. Для построения устройства нам необходимы логические элементы ИЛИ, которые объединят информацию с клавиш и выдадут ее на соответствующий разряд.

Схема такого устройства изображена на рисунке 2. Условное изображение шифратора, используемое на логических схемах, изображено на рисунке 3.

IV. Этап общения, систематизации знаний и закрепление изученного.

Учитель. Для закрепления изученного материала мы проверим работу шифратора на электронной модели. На электронной модели показано: таблица истинности шифратора, условное изображение на логических схемах, электрическая схема и клавиши ввода. Для проверки работы шифратора достаточно выбрать любую десятичную цифру и нажать соответствующую ей клавишу. На выходе шифратора появится двоичный код числа, причем единицы будут показаны красным цветом. Необходимо проверить соответствие полученного двоичного кода содержанию таблицы истинности. Приступим.

Ученики выполняют работу на компьютерах.

V. Подведение итогов. Домашнее задание.

Сегодня мы с вами познакомились с устройством, которое нашло широкое применение в современной технике. Каждый из нас с кодирующими устройствами сталкивается многократно в течение дня. Это, прежде всего, вычислительная техника, телефон, пульт дистанционного управления телевизором, микроволновая печь, стиральная машина и другие предметы бытовой техники.

В качестве домашнего задания я попрошу вас к следующему уроку повторить представленный материал, и определить в какой бытовой технике, не названной мною, нашли практическое применение шифраторы. Спасибо! До свидания!

Шифратор осуществляет преобразование десятичных чисел в двоичную систему счисления. На рис.9.9 приведено символическое изображение шифратора, преобразующего десятичные числа 0, 1, 2, … , 9 в выходной код 8421 и его таблица истинности. Символ CD образован из букв английского слова Coder . Слева показаны 10 входов шифратора, справа – выходы шифратора; цифрами 1,2,4,8 обозначены весовые коэффициенты двоичных четырёх разрядов шифратора.

Из таблицы истинности видно, что выходу x 1 будет соответствовать лог. 1 , если одна из входных переменных y 1 , y 3 , y 5 , y 7 , y 9 будет также иметь лог. 1 . Следовательно, можно составить логическую операцию x 1 =y 1 y 3 y 5 y 7 y 9 . Для остальных выходов можно составить логические операции: x 2 =y 2 y 3 y 6 y 7 , x 4 =y 4 y 5 y 6 y 7 , x 8 =y 8 y 9.

Рис.9.9. Символическое изображение шифратораи его таблица истинности

Используя полученные логические операции, можно реализовать логическую схему шифратора, построенную на логических элементах ИЛИ , приведённую на рис.9.10. Шифраторы используются в устройствах ввода информации в цифровые системы с клавиатуры.

Рис.9.10. Логическая схема шифратора

При конструировании систем дистанционного управления, особенно у начинающих радиолюбителей, часто возникает вопрос: какой способ кодирования информации управления выбрать? Самые распространенные способы кодирования информации: число-импульсный и частотно-импульсный. В первом случае команда передается определенным количеством импульсов, которые "обрабатываются" дешифратором и распределяются по каналам исполнительных механизмов . Обычно такие системы кодирования выполняют полностью на микросхемах. Они многокомандные (от 4 до 16 команд). Настроить такую аппаратуру начинающему радиолюбителю трудно. А самое главное, при современной насыщенности диапазона 27-30 МГц аппаратура становится помехонезащищенной, особенно если она собрана по КМОП-технологии.

При частотно-импульсном способе кодирования каждая команда передается определенными частотами, отличающимися друг от друга. При частотно-импульсном кодировании аппаратура более устойчива к помехам. Основным недостатком аппаратуры является ограниченное число команд из-за сложности дешифратора. В дешифраторе используют LC-фильтры. Изготовить и настроить эти фильтры без определенных навыков и оборудования невозможно, это усложняет схему.

Предлагаю читателям несложную аппаратуру дистанционного управления. Она построена по принципу частотно-импульсного кодирования, собрана на микросхемах. Отличительными особенностями являются ее помехоустойчивость и отсутствие ЬС-фильтров в дешифраторе, что делает аппаратуру простой в наладке.

Шифратор (рис.1) собран на четырех микросхемах серии К555. На микросхеме DD1 собран задающий генератор частоты на 1 МГц, стабилизированный кварцевым резонатором ZQ1. На микросхемах DD2, DD3, DD4 собраны делители частоты . Не совсем стандартное включение микросхем выбрано для удобства монтажа и не несет никаких функциональных изменений. Импульсы с частотой следования 1 МГц поступают на вход С2 счетчика DD2 (выв.1).

Счетчик выполняет роль делителя частоты на 16. На выводе 12 DD2 появляется сигнал с частотой 62,500 Гц, который поступает на счетчики DD3 и DD4. На выводе 12 DD3 появляются импульсы с частотой 3906,25 Гц, которые формируют команду 5. На выводах 9, 8, 11, 12 DD4 появляются частоты 1953,125 Гц, 976,5525 Гц, 488,28125 Гц и соответственно образуют команды 3, 4, 1, 2, которые через переключатели S1...S4 подаются на модулятор передатчика при нажатии на одну из кнопок. В отжатом состоянии на модулятор подается команда 5, не несущая информации, так называемая команда сброса.

Для питания схемы использован стабилизатор DA1, так как передатчик обычно питается напряжением +9...12 В. Светодиод н1 служит индикатором включения схемы. Диод VD1 защищает схему от переполюсовки напряжения питания, С2, С3 - помехозащищающие конденсаторы.

Детали.

Резисторы типа МЛТ-0,125, МЛТ-0,25. Конденсаторы типа КМ, микросхемы К555 можно заменить на микросхемы К155, но при этом ток потребления схемы увеличивается. Схема собрана на плате из текстолита размером 75х60 мм. На рис.2 приведена печатная плата шифратора.

Наладка. Правильно собранная схема наладки не требует. 0сциллографом и частомером проверяют наличие сигналов в контрольных точках схемы (рис.1): вывод 12 DD2, вывод 12 DD3, вывод 9, 8, 11, 12 DD4. Резисторы R1, R3 определяют стабильную работу задающего генератора. Это зависит от типа кварцевого резонатора.

Дешифратор (рис.3) собран на четырех микросхемах типа К555 и четырех транзисторах VT1 - VT4. Устройство имеет четыре идентичных канала. Рассмотрим работу одного из них. На элементе DD1.1 собран формирователь импульса, который формируется по переднему фронту входного импульса . На элементе DD2.1 собран узел сравнения. Входной импульс поступает на вход В1 (выв.10) микросхемы DD1 и на вывод 12 элемента DD2.1. По переднему фронту входного импульса одновибратора DD1.1 формируется импульс, длительность которого зависит от элементов R3, С1. Номиналы резисторов R3...R10 и конденсаторов С1...С4 подобраны таким образом, чтобы длительность команд шифратора совпадала с длительностью вырабатываемых импульсов одновибраторов дешифратора. На рис.4,а приведена диаграмма работы дешифратора при отсутствии команды.

При поступлении команды 1 на вход дешифратора (рис.4,б) на выводе 5 DD1.1 и на выводе 12 DD2.1 появляются импульсы одинаковой длительности. На выводе 11 DD2.1 при этом будет лог."0", транзистор VT1 закрыт, на выводе 8 DD3.1 - уровень лог."0". При поступлении на вход дешифратора любой другой команды на выводе 5 DD1.1 будут импульсы с постоянной длительностью, на выводе 12 DD2.1 будут импульсы с длительностью, отличной от длительности импульсов одновибратора DD1.1. На выводе 11 DD2.1 появляются импульсы, которые через выпрямитель с удвоением напряжения, С5, С6, VD1, VD2 открывают транзистор VT1, на выводе 8 DD3.1 появляется уровень лог.Т. Емкость конденсаторов С5 и С6 подобрана таким образом, чтобы при изменении длительности импульсов в пределах частот поступающих команд на базу транзисторов VT1 - VT4 поступало выпрямленное напряжение, не влияющее на работу элементов DD3.1 - DD3.4. Аналогично работают и остальные каналы дешифратора, настроенные на длительности соответствующих команд резисторами R3...R10 и конденсаторами С1 ...С4. При отсутствии команды управления на вход дешифратора поступает команда сброса, формирующая единицы на выходах DD3.1...DD3.4. При поступлении помех происходит то же самое, что и при поступлении команды сброса. Благодаря применению в дешифраторе вышеописанного способа, пропускная способность фильтров команд составляет от ±20 Гц (команда 1) до ±240 Гц (команда 4), а частоты команд в ЬС-фильтрах - от нескольких сотен герц и выше (в зависимости от типа схемы), что является еще одним поме-хозащищающим фактором.

Детали. Резисторы типа МЛТ-0,125, МЛТ-0,25; подст-роечные резисторы типа СП5-1, СП5-2, СП5-3; конденсаторы С1-С3 типа КМ с наименьшим ТКЕ; диоды - КД503, КД509; транзисторы КТ315 с любым буквенным индексом.

Микросхемы серий К555 можно заменить на К155, К1533, микросхему К555ТЛ3 - на К135ЛА3, но при этом ухудшается "крутизна" фронтов импульса команды. Электролитические конденсаторы импортного производства. Схема собрана на плате из стеклотекстолита размером 75х60 мм (рис.5).

Наладка. Правильно собранная схема начинает работать сразу. После проверки правильности монтажа подключают настроенный шифратор к дешифратору. Подавая по очереди команды резисторами r4, R6, R8, R10, настраивают каналы дешифратора. Включение светодиодов Н1-Н2 облегчает процедуру наладки. При срабатывании команды соответствующий светодиод светится. Далее осциллографом контролируют импульсы соответствующего канала. Для первого канала на выводах 12, 13 DD2.1 длительности импульсов должны быть одинаковые, при этом на выводе 11 DD2.1 должен быть уровень лог."0". При необходимости более точно настройку повторяют резистором R4 и конденсатором С1. Остальные каналы настраивают идентично.

Литература:

1. Проскурин А. А. Модульная аппаратура радиоуправле-ния.М.: ДОСААФ СССР, 1988.

2. Шило В. Л. Популярные цифровые микросхемы.-М.:Радио и связь,1989.

3. Миль Г. Модели с дистанционным управлением.-Л.: Судо-строение,1984.

Достоинства цифровых систем шифрации и дешифрации команд в аппаратуре дистанционного управления моделями уже были отмечены в литературе. Ниже описан еще один вариант комплекса шифратор-дешифратор на 15 дискретных команд, предназначенный для той же цели.

Схема шифратора изображена на рис. 1, а дешифратора - на рис. 2. Форма сигнала в некоторых характерных точках устройства показана на рис. 3.

На выходе шифратора команд действуют пачки импульсов отрицательной полярности (график 4 на рис. 3).

Частота повторения пачек импульсов равна f/32, где f - частота задающего генератора, выполненного на логических элементах DD1.1.DD1.2 (рис. I) по схеме симметричного мультивибратора.

С задающего генератора импульсы (график 1) поступают на счетчик DD2 и на элемент совпадения.DD4.1. Импульсы частотой f через этот элемент будут проходить тогда, когда триггеры DD3 и DD1.3.DD1.4 находятся в единичном состоянии (графики 2 и 3). Счетный триггер DD3 переключается после каждого 16-го импульса, поступающего на счетчик DD2. Свободные входы триггера DD3 объединены и подключены через резистор сопротивлением 1 кОм к плюсовому выводу источника питания. RS-триггер DD1.3.DD1.4 устанавливается в единичное состояние по нулевому уровню сигнала на выходе 0 (вывод 1) дешифратора DD5 и в нулевое состояние - по нулевому уровню сигнала на том из выходов дешифратора, который подключен к выводу 2 элемента DD1.4 через контакты одной из кнопок SB I-SB 15.

Число импульсов в пачке равно номеру нажатой кнопки. Если не нажата ни одна из кнопок, то шифратор вырабатывает пачки по 16 импульсов, так как RS-триггер DD1.3.DD1.4 не переводится в нулевое состояние.



Дешифратор команд собран на четы-рех микросхемах (рис. 2). Узел, собранный на элементах DD1.2.DD1.3. представляет собой селектор импульсов. За время между двумя импульсами отрицательной полярности частотой f конденсатор С1 не успевает зарядиться до напряжения, достаточного для того, чтобы перевести элемент DD1.2 в нулевое состояние, и на выходе элемента DD1.3 сохраняется уровень-сигнала, соответствующий логическому 0. В течение же промежутка времени между пачками импульсов конденсатор С1 заряжается до единичного напряжения на выводе 2 элемента DD1.2 (график 5) и на выходе элемента DD1.3 появляется сигнал 1 (график 6). Диод VDI обеспечивает быструю разрядку конденсатора С1.



По спаду импульсов с выхода элемента DD1.3 счетчик DD2 устанавливается в нулевое состояние, а из их фронтов дифференцирующая цепь C3.R4 формирует импульсы записи информации со счетчика DD2 в запоминающий узел на триггере DD3. При одном импульсе в пачке счетчик DD2 остается в нулевом состоянии, при двух он переходит в состояние 1, при трех - в состояние 2 и т. д.

К выходам дешифратора DD4 через промежуточное звено - электронное реле - подключают исполнительные устройства. Схема электронного реле изображена на рис. 4. Первое электронное реле подключают к выходу О (вывод 1) дешифратора DD4, второе - к выходу 1 и т. д. Шестнадцатое реле, подключенное к выводу 17, включено тогда, когда в шифраторе не нажата ни одна из кнопок. При таком построении приемника может быть включенным одновременно только одно исполнительное устройство. Оно включается на время нажатия кнопки в шифраторе команд в передатчике.

Для независимого включения и выключения исполнительных устройств независимо между дешифратором и каждым электронным реле надо включить RS-трнггер по схеме рис. 5. Входы триггеров присоединяют к двум соседним выходам дешифратора; например, входы S и R первого триггера подключают к выходам 0 и 1 дешифратора соответственно, второго - к выходам 2 и 3, третьего - к выходам 4 и 5 и т. д. Число исполнительных устройств при этом уменьшается вдвое. Конденсатор С1 необходим для установки RS-триггера в единичное состояние при включении питания.

Когда на выходе RS-триггера сигнал высокого уровня, то реле К1 обесточено. Если на некоторое время на вход R подать сигнал 0, триггер установится в нулевое состояние и реле К1 включится. Реле выключится тогда, когда нулевой уровень сигнала будет подан на некоторое время на вход S. Таким образом, команда по одному из каналов включает реле, а по соседнему - выключает. При необходимости часть электронных реле может быть включена по схеме рис. 4, а остальные - с RS-триггером. Реле К1 - РЭС15, паспорт РС4.591.003.

При проверке работоспособности устройства выход шифратора команд соединяют со входом дешифратора. Частота задающего генератора может быть выбрана другой, нужно только подобрать конденсатор С1 в дешифраторе команд (при большей частоте емкость конденсатора должна быть меньше). К стабильности частоты задающего генератора высоких требований не предъявляется.

Логические устройства разделяют на два класса: комбинационные и последовательностные.

Устройство называют комбинационным , если его выходные сигналы в некоторый момент времени однозначно определяются входными сигналами, имеющими место в этот момент времени.

Иначе устройство называют последовательностным или конечным автоматом (цифровым автоматом, автоматом с памятью). В последовательностных устройствах обязательно имеются элементы памяти. Состояние этих элементов зависит от предыстории поступления входных сигналов. Выходные сигналы последовательностных устройств определяются не только сигналами, имеющимися на входах в данный момент времени, но и состоянием элементов памяти. Таким образом, реакция последовательностного устройства на определенные входные сигналы зависит от предыстории его работы.

Среди как комбинационных, так и последовательностных устройств выделяются типовые, наиболее широко используемые на практике.

Шифраторы

Шифратор - это комбинационное устройство, преобразующее десятичные числа в двоичную систему счисления, причем каждому входу может быть поставлено в соответствие десятичное число, а набор выходных логических сигналов соответствует определенному двоичному коду. Шифратор иногда называют «кодером» (от англ. coder) и используют, например, для перевода десятичных чисел, набранных на клавиатуре кнопочного пульта управления, в двоичные числа.

Если количество входов настолько велико, что в шифраторе используются все возможные комбинации сигналов на выходе, то такой шифратор называется полным, если не все, то неполным. Число входов и выходов в полном шифраторе связано соотношением n= 2 m , где n- число входов, m- число выходов.

Так, для преобразования кода кнопочного пульта в четырехразрядное двоичное число достаточно использовать лишь 10 входов, в то время как полное число возможных входов будет равно 16 (n = 2 4 = 16), поэтому шифратор 10×4 (из 10 в 4) будет неполным.

Рассмотрим пример построения шифратора для преобразования десятиразрядного единичного кода (десятичных чисел от 0 до 9) в двоичный код. При этом предполагается, что сигнал, соответствующий логической единице, в каждый момент времени подается только на один вход. Условное обозначение такого шифратора и таблица соответствия кода приведены на рис. 3.35.

Используя данную таблицу соответствия, запишем логические выражения, включая в логическую сумму те входные переменные, которые соответствуют единице некоторой выходной пере­менной. Так, на выходе у 1 будет логическая «1» тогда, когда логическая «1» будет или на входе Х 1 ,или Х 3 , или Х 5 , или Х 7 , или X 9 , т. е. у 1 = Х 1 + Х 3 + Х 5 + Х 7 +X 9

Аналогично получаем у 2 = Х 2 + Х 3 + Х 6 + X 7 у 3 = Х 4 + Х 5 + Х 6 + Х 7 у 4 = Х 8 + X 9

Представим на рис. 3.36 схему такого шифратора, используя элементы ИЛИ.
На практике часто используют шифратор с приоритетом. В таких шифраторах код двоичного числа соответствует наивысшему номеру входа, на который подан сигнал «1», т. е. на приоритетный шифратор допускается подавать сигналы на несколько входов, а он выставляет на выходе код числа, соответствующего старшему входу.

Рассмотрим в качестве примера (рис. 3.37) шифратор с приоритетом (приоритетный шифратор) К555ИВЗ серии микросхем К555 (ТТЛШ).

Шифратор имеет 9 инверсных входов, обозначенных через PR l , …, PR 9 . Аббревиатура PR обозначает «приоритет». Шифратор имеет четыре инверсных выхода B l , …, B 8 . Аббревиатура B означает «шина» (от англ. bus). Цифры определяют значение активного уровня (нуля) в соответствующем разряде двоичного числа. Например, B 8 обозначает, что ноль на этом выходе соответствует числу 8. Очевидно, что это неполный шифратор.

Если на всех входах - логическая единица, то на всех выходах также логическая единица, что соответствует числу 0 в так называемом инверсном коде (1111). Если хотя бы на одном входе имеется логический ноль, то состояние выходных сигналов определяется наибольшим номером входа, на котором имеется логический ноль, и не зависит от сигналов на входах, имеющих меньший номер.

Например, если на входе PR 1 - логический ноль, а на всех остальных входах - логическая единица, то на выходах имеются следующие сигналы: В 1 − 0, В 2 − 1, В 4 − 1, В 8 − 1, что соответствует числу 1 в инверсном коде (1110).

Если на входе PR 9 логический ноль, то независимо от других входных сигналов на выходах имеются следующие сигналы: В 1 − 0 , В 2 − 1 , В 4 − 1, В 8 − 0, что соответствует числу 9 в инверсном коде (0110).

Основное назначение шифратора - преобразование номера источника сигнала в код (например, номера нажатой кнопки некоторой клавиатуры).


Дешифраторы

Называется комбинационное устройство , преобразующее n-разрядный двоичный код в логический сигнал, появляющийся на том выходе, десятичный номер которого соответствует двоичному коду. Число входов и выходов в так называемом полном дешифраторе связано соотношением m= 2 n , где n- число входов, а m- число выходов. Если в работе дешифратора используется неполное число выходов, то такой дешифратор называется неполным. Так, например, дешифратор, имеющий 4 входа и 16 выходов, будет полным, а если бы выходов было только 10, то он являлся бы неполным.

Обратимся для примера к дешифратору К555ИД6 серии К555 (рис. 3.38).


Дешифратор имеет 4 прямых входа, обозначенных через А 1 , …, А 8 . Аббревиатура A обозначает «адрес» (от англ.address). Указанные входы называют адресными. Цифры определяют значения активного уровня (единицы) в соответствующем разряде двоичного числа. Дешифратор имеет 10 инверсных выходов Y 0 , …, Y 9 . Цифры определяют десятичное число, соответствующее заданному двоичному числу на входах. Очевидно, что этот дешифратор неполный.

Значение активного уровня (нуля) имеет тот выход, номер которого равен десятичному числу, определяемому двоичным числом на входе. Например, если на всех входах - логические нули, то на выходе Y 0 - логический ноль, а на остальных выходах - логическая единица. Если на входе А 2 - логическая единица, а на остальных входах - логический ноль, то на выходе Y 2 - логический ноль, а на остальных выходах - логическая единица. Если на входе - двоичное число, превышающее 9 (например, на всех входах единицы, что соответствует двоичному числу 1111 и десятичному числу 15), то на всех выходах - логическая единица.

Дешифратор - одно из широко используемых логических устройств. Его применяют для построения различных комбинационных устройств.

Рассмотренные шифраторы и дешифраторы являются примерами простейших преобразователей кодов.

Преобразователи кодов

В общем случае, называют устройства, предназначенные для преобразования одного кода в другой, при этом часто они выполняют нестандартные преобразования кодов. Преобразователи кодов обозначают через X/Y.

Рассмотрим особенности реализации преобразователя на примере преобразователя трехэлементного кода в пятиэлементный. Допустим, что необходимо реализовать таблицу соответствия кодов, приведенную на рис. 3.39.



Здесь через N обозначено десятичное число, соответствующее входному двоичному коду. Преобразователи кодов часто создают по схеме дешифратор - шифратор. Дешифратор преобразует входной код в некоторое десятичное число, а затем шифратор формирует выходной код. Схема преобразователя, созданного по такому принципу, приведена на рис. 3.40, где использован матричный диодный шифратор. Принцип работы такого преобразователя довольно прост. Например, когда на всех входах дешифратора логический «О», то на его выходе 0 появляется логическая «1», что приводит к появлению «1» на выходах у 4 и у 5 , т. е. реализуется первая строка таблицы соответствия кодов.


Промышленность выпускает большое число шифраторов, дешифраторов и преобразователей кодов, таких как дешифратор 4×16 со стробированием (К555ИДЗ), преобразователь кода для управления светодиодной матрицей 7×5 (К155ИД8), преобразователь кода для управления шкальным индикатором (К155ИД15) и др.